UVM TLM Export

TLM Export

  • The TLM Export is a port that forwards a transaction from a child component to its parent
  • The TLM Export has unidirectional and bidirectional ports
  • An export can be connected to any compatible child export or imp port. It must ultimately be connected to at least one implementation of its associated interface

TLM Export Classes

uvm_*_export#(T)        //unidirectional export class
uvm_*_export #(REQ,RSP) //bidirectional export class

Type parameters,

  • T       – The type of transaction to be communicated by the export
  • REQ – The type of request transaction to be communicated by the export
  • RSP  – The type of response transaction to be communicated by the export

Export Methods

new

This is a constructor method used for the creation of TLM Export.

function new (string name,
              uvm_component parent,
              int min_size=1,
              int max_size=1);

The name and parent are the standard uvm_component constructor arguments.

Summary of TLM Exports

TLM Exports
TLM Exports

Next Section: TLM Port

For TLM Examples refer to TLM Examples
❮ Previous Next ❯